VHDL


Русская Википедия - свободная энциклопедияDownload this dictionary
VHDL
VHDL — язык описания аппаратуры высокоскоростных интегральных схем. Язык проектирования VHDL является базовым языком при разработке аппаратуры современных вычислительных систем.

Был разработан в 1983 г. по заказу Министерства обороны США с целью формального описания логических схем для всех этапов разработки электронных систем, начиная модулями микросхем и кончая крупными вычислительными системами.

Первоначально язык предназначался для моделирования, но позднее из него было выделено синтезируемое подмножество. Написание алгоритмической модели на синтезируемом подмножестве гарантирует автоматический синтез по этой модели алгоритмической схемы.


Продолжение на Wikipedia.οrg...


© Текстовое содержимое использует материал из Википедии® и доступно в соответствии с лицензией свободной документации GNU