Very High Speed Integrated Circuit Hardware Description Language


Deutschsprachige Wikipedia - Die freie EnzyklopädieDownload this dictionary
Very High Speed Integrated Circuit Hardware Description Language
Very High Speed Integrated Circuit Hardware Description Language (auch VHSIC Hardware Description Language), kurz VHDL, ist eine Hardwarebeschreibungssprache, mit der es möglich ist, digitale Systeme textbasiert zu beschreiben. VHDL ist seit 1987 als IEEE-Standard festgelegt und es gibt inzwischen einige ebenfalls standardisierte Spracherweiterungen. Darüber hinaus gibt es Sprachderivate wie zum Beispiel VHDL-AMS, mit deren Hilfe auch analoge oder Mixed-Signal-Systeme beschrieben werden können.

Mehr unter Wikipedia.org...


© Dieser Eintrag beinhaltet Material aus Wikipedia® und ist lizensiert auf GNU-Lizenz für freie Dokumentation und Creative Commons Attribution-ShareAlike License